求真百科歡迎當事人提供第一手真實資料,洗刷冤屈,終結網路霸凌。

「D触发器」修訂間的差異檢視原始碼討論檢視歷史

事實揭露 揭密真相
前往: 導覽搜尋
(创建页面,内容为“ '''D触发器'''在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即次态=…”)
 
(rollbackEdits.php mass rollback)
(1 個標籤回退)
 
(未顯示由 2 位使用者於中間所作的 4 次修訂)
行 1: 行 1:
 +
{{Infobox person
 +
| 姓名    = D触发器
 +
|圖片 = [[ File:201441522131.jpg|缩略图|250px|[https://image.so.com/view?q=D%E8%A7%A6%E5%8F%91%E5%99%A8%E7%A7%8D%E7%B1%BB&src=srp&correct=D%E8%A7%A6%E5%8F%91%E5%99%A8%E7%A7%8D%E7%B1%BB&ancestor=list&cmsid=40865c1285b8204d6ba1ce4997e8e571&cmras=0&cn=0&gn=0&kn=0&fsn=60&adstar=0&clw=254#id=6ac3a378c8d9b0d7dd7dccd0493cf443&prevsn=60&currsn=120&ps=175&pc=57 原圖鏈接][http://www.jdzj.com/p3/2014-4-15/1013073.html 来自机电之家]]]  
 +
}}
  
 +
'''D触发器'''在时钟[[脉冲]]CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即次态=D。因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响[[触发器]]的输出状态。
  
 +
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。<ref>[http://www.elecfans.com/analog/20171212601959.html 触发器是干什么的],电子发烧友网,2017年12月12日</ref>
  
'''D 触发器'''在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即次态=D。因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
+
中文名称:D 触发器
  
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。<ref>[http://www.elecfans.com/analog/20171212601959.html 触发器是干什么的],电子发烧友网,2017年12月12日</ref>
+
  外文名称:D flip-flop
  
 +
组成:六个与非门
  
 
 特征方程: Qn+1=D
 
 特征方程: Qn+1=D
  
 
=='''简介'''==
 
=='''简介'''==
 
+
[[File:338 1 4.jpg|缩略图|250px|[https://image.so.com/view?q=D%E8%A7%A6%E5%8F%91%E5%99%A8&src=srp&correct=D%E8%A7%A6%E5%8F%91%E5%99%A8&ancestor=list&cmsid=bbdd4098340504844340465044468cc3&cmras=0&cn=0&gn=0&kn=0&fsn=75&adstar=0&clw=254#id=4d87fbccc45f576a3ff1a839c2deec79&currsn=0&ps=61&pc=61 原圖鏈接][http://diagram.eepw.com.cn/diagram/circuit/cid/97/cirid/137976 来自电子产品世界]]] 
 
 触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。特征方程 Qn+1=D
 
 触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。特征方程 Qn+1=D
  
 在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
+
 在数字系统和[[ 计算机]] 中有着广泛的应用。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
  
触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种。
+
[[ 触发器]] 有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种。
  
D触发器在时钟脉 冲CP 的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即次态=D。因此,它具有置0、置1两种功能。由于在
+
D触发器在时钟[[ 冲]]CP 的前沿(正跳变0→1)发生翻转,触发器的次态取决于CP的脉冲上升沿到来之前D端的状态,即次态=D。因此,它具有置0、置1两种功能。由于在
  
 
CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
 
CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
  
 
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。<ref>[http://www.elecfans.com/analog/20171212601959.html 触发器是干什么的],电子发烧友网,2017年12月12日</ref>
 
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等。<ref>[http://www.elecfans.com/analog/20171212601959.html 触发器是干什么的],电子发烧友网,2017年12月12日</ref>
 +
 +
=='''电路结构'''==
 +
 +
D触发器由6个[[与非门]]组成,其中G1和G2构成基本[[RS触发器]]。
  
 
=='''工作原理'''==
 
=='''工作原理'''==
 
+
[[ File:200993018233595206.jpg|缩略图|250px|[https://image.so.com/view?q=D%E8%A7%A6%E5%8F%91%E5%99%A8&src=tab_www&correct=D%E8%A7%A6%E5%8F%91%E5%99%A8&ancestor=list&cmsid=6d7a8ea9520c8f1524565103045117a3&cmras=0&cn=0&gn=0&kn=0&fsn=75&adstar=0&clw=254#id=bb892a39f042199033578c008444e0ad&currsn=0&ps=61&pc=61 原圖鏈接][http://www.elecfans.com/article/88/131/sz/2009/2009093094569.html 来自电子发烧友]]]
SD 和RD 接至基 本RS  触发器的输入端,分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。
+
SD 和RD 接至基 本[[RS 触发器]] 的输入端,分别是预置和清零端,低电平有效。当SD=0且RD=1时,不论输入端D为何种状态,都会使Q=1,Q=0,即触发器置1;当SD=1且RD=0时,触发器的状态为0,SD和RD通常又称为直接置1和置0端。我们设它们均已加入了高电平,不影响电路的工作。
  
 
 工作过程如下:
 
 工作过程如下:
  
1、CP=0时,与非 门G3 和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。
+
1、CP=0时,[[ 与非 门]]G3 和G4封锁,其输出Q3=Q4=1,触发器的状态不变。同时,由于Q3至Q5和Q4至Q6的反馈信号将这两个门打开,因此可接收输入信号D,Q5=D,Q6=Q5=D。
  
2、当CP由0变1时触发器翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基 本RS 触发器的逻辑功能可知,Q=D。
+
2、当CP由0变1时[[ 触发器]] 翻转。这时G3和G4打开,它们的输入Q3和Q4的状态由G5和G6的输出状态决定。Q3=Q5=D,Q4=Q6=D。由基 本[[RS 触发器]] 的逻辑功能可知,Q=D。
  
 
3、触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。
 
3、触发器翻转后,在CP=1时输入信号被封锁。这是因为G3和G4打开后,它们的输出Q3和Q4的状态是互补的,即必定有一个是0,若Q3为0,则经G3输出至G5输入的反馈线将G5封锁,即封锁了D通往基本RS 触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。Q4为0时,将G3和G6封锁,D端通往基本RS触发器的路径也被封锁。Q4输出端至G6反馈线起到使触发器维持在1状态的作用,称作置1维持线;Q4输出至G3输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器常称为维持-阻塞触发器。
  
 总之,该触发器是在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强的抗干扰能力和更高的工作速度。
+
 总之,该触发器是在CP正跳沿前接受输入信号,正跳沿时触发翻转,正跳沿后输入即被封锁,三步都是在正跳沿后完成,所以有边沿触发器之称。与主从触发器相比,同工艺的边沿触发器有更强的抗干扰能力和更高的工作速度。<ref>[https://www.dgzj.com/dzyqj/73119.html D触发器原理 和真值表], 电工之家 ,2017-06-26</ref>
<ref>[https://www.360kuai.com/pc/9acaa6272179dfd47?cota=4&kuai_so=1&tj_url=so_rec&sign=360_57c3bbd1&refer_scene=so_1 D触发器原理 及电路图], 快资讯 ,2018-07-01</ref>
 
  
 
=='''脉冲特性'''==
 
=='''脉冲特性'''==
 +
[[ File:U=4146795522,2198529944&fm=26&gp=0.jpg|缩略图|250px|[https://image.so.com/view?q=D%E8%A7%A6%E5%8F%91%E5%99%A8&src=tab_www&correct=D%E8%A7%A6%E5%8F%91%E5%99%A8&ancestor=list&cmsid=6d7a8ea9520c8f1524565103045117a3&cmras=0&cn=0&gn=0&kn=0&fsn=75&adstar=0&clw=254#id=adf1000184697d1373f4eef8a16626e8&prevsn=195&currsn=255&ps=298&pc=60 原圖鏈接][http://www.serengeseba.com/w/d%E8%A7%A6%E5%8F%91%E5%99%A8/ 来自360搜索]]]
 +
1、建立时间:由维持阻塞触发器的电路可见,CP信号是加到门G3和G4上的,因而在CP上升沿到达之前门G5和G6输出端的状态必须稳定地建立起来。输入信号到达D端以后,要经过一级门电路的传输延迟时间G5的输出状态才能建立起来,而G6的输出状态需要经过两级门电路的传输延迟时间才能建立,因此D端的输入信号必须先于CP的上升沿到达,而且建立时间应满足: tset≥2tpd。
 +
 +
2、保持时间:实现边沿触发,应保证CP=1期间门G6的输出状态不变,不受D端状态变化的影响。为此,在D=0的情况下,当CP上升沿到达以后还要等门G4输出的低电平返回到门G6的输入端以后,D端的低电平才允许改变。因此输入低电平信号的保持时间为tHL≥tpd。在 D=1的情况下,由于CP上升沿到达后G3的输出将G4封锁,所以不要求输入信号继续保持不变,故输入高电平信号的保持时间tHH=0。
 +
 +
3、传输延迟时间:不难推算出,从CP上升沿到达时开始计算,输出由高电平变为低电平的传输延迟时间tPHL和由低电平变为高电平的传输延迟时间tPLH分别是:tPHL=3tpd tPLH=2tpd
 +
 +
4、最高时钟[[频率]]:为保证由门G1~G4组成的同步[[RS触发器]]能可靠地翻转,CP高电平的持续时间应大于 tPHL,时钟信号高电平的宽度tWH应大于tPHL。而为了在下一个CP上升沿到达之前确保门G5和G6新的输出 电平得以稳定地建立,CP低电平的持续时间不应小于门G4的传输延迟时间和tset之和,即时钟信号低电平的宽度tWL≥tset+tpd 。<ref>[https://www.dgzj.com/dzyqj/73119.html D触发器原理和真值表],电工之家网,2017-06-26</ref>
 +
 +
=='''分类'''==
 +
[[ File:1042456327-0.jpg|缩略图|250px|[https://image.so.com/view?q=D%E8%A7%A6%E5%8F%91%E5%99%A8&src=tab_www&correct=D%E8%A7%A6%E5%8F%91%E5%99%A8&ancestor=list&cmsid=6d7a8ea9520c8f1524565103045117a3&cmras=0&cn=0&gn=0&kn=0&fsn=75&adstar=0&clw=254#id=da240941caf60eaeb639986a17e28e22&currsn=0&ps=61&pc=61 原圖鏈接][http://diagram.eepw.com.cn/diagram/circuit/cid/5/cirid/32279 来自电子产品世界]]]
  
1、建立 间:由下图维持阻塞 触发器 的电路可见,CP信号是加到门G3和G4上的 在CP上升沿到达之前门G5和G6输出端的状态必须稳定地建立起来。输入信号到达D端以后 要经过一级门电路的传输延迟 间G5 输出状态才能建立起来,而G6的输出状态需要经过两级门电路的传输延迟时间才能建立,因此D端的输入信号必须先于CP的上升沿到达,而且建立时间应满足: tset≥2tpd。
+
D触发器属于 钟控制 触发器, 一般 ,时 钟控制 触发器可以分成三大类:
  
2 保持 间:由下图可知 ,为 实现边沿 触发 ,应保证CP=1期间门G6 的输出状态 ,不受D端状态 化的影响。 此,在D=0的情况下,当CP上升沿到达以后还要等门G4输出的低电平返回到门G6的输入端以后,D端的低电平才允许改变。因此输入 电平信号的保持时间为tHL≥tpd。在 D=1的情况下,由于CP上升沿到达后G3的输出将G4封锁,所以不要求输入信号继续保持不变,故输入高电平信号的保持时间tHH=0
+
1 第一类 钟控制触发器要求时钟信号的[[脉冲]]宽度小于触发器的传输延迟 即,时钟信号先 高,接着必须在 触发 的输出状态 之前 变为低。
  
3 传输延迟 间:由图工作波形图不难推算出 从CP上升沿到达 开始计算,输出由 高电平变 为低电平的传 延迟时间tPHL和由低电平变 电平 的传输延迟时间tPLH分别是:tPHL=3tpd tPLH=2tpd
+
2 第二类 钟控制[[触发器]]的特点是 ,时 钟信号为 高电平 时触发器改 变输 出状态,通常称这种触发器 为电平 敏感触发器(锁存器Latch)。 
  
4、 最高时钟频率:为保证由门G1~G4组成的同步RS 触发器 能可靠地翻转,CP高电平 持续时间应大于 tPHL ,时钟信号 高电平 宽度tWH应大于tPHL。而为了在下一个CP 上升沿 到达之前确保门G5和G6新的 输出 电平得以稳定地建立,CP低电平的持续时间不应小于门G4的传输延迟时间和tset之和,即时钟信号低电平的宽度tWL≥tset+tpd  。<ref>[https://www.360kuai.com/pc/9acaa6272179dfd47?cota=4&kuai_so=1&tj_url=so_rec&sign=360_57c3bbd1&refer_scene=so_1 D 触发器 原理及 电路 ], 快资讯 ,2018-07-01</ref>
+
4、 第三类 触发器的 特点是边沿触发 ,时钟信号的上升/下降 沿 会使触发器改变 输出 状态(寄存器Register) 。<ref>[http://www.elecfans.com/analog/20190712992964.html  触发器电路 简介 ], 电子发烧友 ,2019年07月12日</ref>
  
 
=='''特点'''==
 
=='''特点'''==
行 52: 行 73:
 
1、边沿D触发器具有接收并记忆信号的功能,又称为锁存器;
 
1、边沿D触发器具有接收并记忆信号的功能,又称为锁存器;
  
2、边沿D触发器属于脉冲触发方式;
+
2、边沿D触发器属于[[ 脉冲]] 触发方式;
 +
 
 +
3、边沿D触发器不存在约束条件和一次变化现象,抗干扰性能好,工作快。<ref>[https://www.360kuai.com/pc/9acaa6272179dfd47?cota=4&kuai_so=1&tj_url=so_rec&sign=360_57c3bbd1&refer_scene=so_1 D触发器原理及电路图],快资讯网,2018-07-01</ref>
 +
 
 +
=='''相关视频'''==
 +
 
 +
1、触发器--D触发器
 +
 
 +
{{#iDisplay:v.qq.com/x/page/c08167vm2wh|640|380|qq}}
 +
 
 +
2、集成D触发器74175
 +
 
 +
{{#iDisplay:v.qq.com/x/page/o0506nzm6p9|640|380|qq}}
 +
 
 +
== '''參考來源''' ==
 +
 
 +
{{Reflist}}
  
3、边沿D触发器不存在约束条件和一次变化现象,抗干扰性能好,工作速度快<ref>[https://www.360kuai.com/pc/9acaa6272179dfd47?cota=4&kuai_so=1&tj_url=so_rec&sign=360_57c3bbd1&refer_scene=so_1 D触发器原 及电路图],快资讯网,2018-07-01</ref>
+
[[Category: 330 物 學總論]]  [[Category: 337 電學;電子學]]

於 2022年8月25日 (四) 09:12 的最新修訂

D觸發器
 

D觸發器在時鐘脈衝CP的前沿(正跳變0→1)發生翻轉,觸發器的次態取決於CP的脈衝上升沿到來之前D端的狀態,即次態=D。因此,它具有置0、置1兩種功能。由於在CP=1期間電路具有維持阻塞作用,所以在CP=1期間,D端的數據狀態變化,不會影響觸發器的輸出狀態。

D觸發器應用很廣,可用做數字信號的寄存,移位寄存,分頻和波形發生器等。[1]

中文名稱:D觸發器

外文名稱:D flip-flop

組成:六個與非門

特徵方程: Qn+1=D

簡介

觸發器是一個具有記憶功能的,具有兩個穩定狀態的信息存儲器件,是構成多種時序電路的最基本邏輯單元,也是數字邏輯電路中一種重要的單元電路。特徵方程 Qn+1=D

在數字系統和計算機中有着廣泛的應用。觸發器具有兩個穩定狀態,即「0」和「1」,在一定的外界信號作用下,可以從一個穩定狀態翻轉到另一個穩定狀態。

觸發器有集成觸發器和門電路組成的觸發器。觸發方式有電平觸發和邊沿觸發兩種。

D觸發器在時鐘脈衝CP的前沿(正跳變0→1)發生翻轉,觸發器的次態取決於CP的脈衝上升沿到來之前D端的狀態,即次態=D。因此,它具有置0、置1兩種功能。由於在

CP=1期間電路具有維持阻塞作用,所以在CP=1期間,D端的數據狀態變化,不會影響觸發器的輸出狀態。

D觸發器應用很廣,可用做數字信號的寄存,移位寄存,分頻和波形發生器等。[2]

電路結構

D觸發器由6個與非門組成,其中G1和G2構成基本RS觸發器

工作原理

SD 和RD 接至基本RS觸發器的輸入端,分別是預置和清零端,低電平有效。當SD=0且RD=1時,不論輸入端D為何種狀態,都會使Q=1,Q=0,即觸發器置1;當SD=1且RD=0時,觸發器的狀態為0,SD和RD通常又稱為直接置1和置0端。我們設它們均已加入了高電平,不影響電路的工作。

工作過程如下:

1、CP=0時,與非門G3和G4封鎖,其輸出Q3=Q4=1,觸發器的狀態不變。同時,由於Q3至Q5和Q4至Q6的反饋信號將這兩個門打開,因此可接收輸入信號D,Q5=D,Q6=Q5=D。

2、當CP由0變1時觸發器翻轉。這時G3和G4打開,它們的輸入Q3和Q4的狀態由G5和G6的輸出狀態決定。Q3=Q5=D,Q4=Q6=D。由基本RS觸發器的邏輯功能可知,Q=D。

3、觸發器翻轉後,在CP=1時輸入信號被封鎖。這是因為G3和G4打開後,它們的輸出Q3和Q4的狀態是互補的,即必定有一個是0,若Q3為0,則經G3輸出至G5輸入的反饋線將G5封鎖,即封鎖了D通往基本RS 觸發器的路徑;該反饋線起到了使觸發器維持在0狀態和阻止觸發器變為1狀態的作用,故該反饋線稱為置0維持線,置1阻塞線。Q4為0時,將G3和G6封鎖,D端通往基本RS觸發器的路徑也被封鎖。Q4輸出端至G6反饋線起到使觸發器維持在1狀態的作用,稱作置1維持線;Q4輸出至G3輸入的反饋線起到阻止觸發器置0的作用,稱為置0阻塞線。因此,該觸發器常稱為維持-阻塞觸發器。

總之,該觸發器是在CP正跳沿前接受輸入信號,正跳沿時觸發翻轉,正跳沿後輸入即被封鎖,三步都是在正跳沿後完成,所以有邊沿觸發器之稱。與主從觸發器相比,同工藝的邊沿觸發器有更強的抗干擾能力和更高的工作速度。[3]

脈衝特性

1、建立時間:由維持阻塞觸發器的電路可見,CP信號是加到門G3和G4上的,因而在CP上升沿到達之前門G5和G6輸出端的狀態必須穩定地建立起來。輸入信號到達D端以後,要經過一級門電路的傳輸延遲時間G5的輸出狀態才能建立起來,而G6的輸出狀態需要經過兩級門電路的傳輸延遲時間才能建立,因此D端的輸入信號必須先於CP的上升沿到達,而且建立時間應滿足: tset≥2tpd。

2、保持時間:實現邊沿觸發,應保證CP=1期間門G6的輸出狀態不變,不受D端狀態變化的影響。為此,在D=0的情況下,當CP上升沿到達以後還要等門G4輸出的低電平返回到門G6的輸入端以後,D端的低電平才允許改變。因此輸入低電平信號的保持時間為tHL≥tpd。在 D=1的情況下,由於CP上升沿到達後G3的輸出將G4封鎖,所以不要求輸入信號繼續保持不變,故輸入高電平信號的保持時間tHH=0。

3、傳輸延遲時間:不難推算出,從CP上升沿到達時開始計算,輸出由高電平變為低電平的傳輸延遲時間tPHL和由低電平變為高電平的傳輸延遲時間tPLH分別是:tPHL=3tpd tPLH=2tpd

4、最高時鐘頻率:為保證由門G1~G4組成的同步RS觸發器能可靠地翻轉,CP高電平的持續時間應大於 tPHL,時鐘信號高電平的寬度tWH應大於tPHL。而為了在下一個CP上升沿到達之前確保門G5和G6新的輸出 電平得以穩定地建立,CP低電平的持續時間不應小於門G4的傳輸延遲時間和tset之和,即時鐘信號低電平的寬度tWL≥tset+tpd 。[4]

分類

D觸發器屬於時鐘控制觸發器,一般而言,時鐘控制的觸發器可以分成三大類:

1、第一類時鐘控制觸發器要求時鐘信號的脈衝寬度小於觸發器的傳輸延遲,即,時鐘信號先為高,接着必須在觸發器的輸出狀態改變之前變為低。 

2、第二類時鐘控制觸發器的特點是,時鐘信號為高電平時觸發器改變輸出狀態,通常稱這種觸發器為電平敏感觸發器(鎖存器Latch)。 

4、第三類觸發器的特點是邊沿觸發,時鐘信號的上升/下降沿會使觸發器改變輸出狀態(寄存器Register)。[5]

特點

1、邊沿D觸發器具有接收並記憶信號的功能,又稱為鎖存器;

2、邊沿D觸發器屬於脈衝觸發方式;

3、邊沿D觸發器不存在約束條件和一次變化現象,抗干擾性能好,工作快。[6]

相關視頻

1、觸發器--D觸發器

2、集成D觸發器74175

參考來源

  1. 觸發器是幹什麼的,電子發燒友網,2017年12月12日
  2. 觸發器是幹什麼的,電子發燒友網,2017年12月12日
  3. D觸發器原理和真值表,電工之家網,2017-06-26
  4. D觸發器原理和真值表,電工之家網,2017-06-26
  5. 觸發器電路簡介 ,電子發燒友網,2019年07月12日
  6. D觸發器原理及電路圖,快資訊網,2018-07-01